China Legal Blog
Aggregated China Law Information
KLA Corp rises as Wall Street says chip equipment demand could be ‘stabilizing’
Aggregated Source: ChinaLegalBlog.com
MediaIntel.Asia

KLA Corp (NASDAQ:KLAC) shares rose more than 3.5% in pre-market trading on Thursday as Wall Street analyzed the semiconductor equipment company's latest results and guidance and suggested that demand for chip equipment could be "stabilizing."
KeyBanc Capital Markets analyst Steve Barger, who rates KLA Corp. (KLAC) shares overweight, pointed out that company management said demand for its Semiconductor Process Control business may be near current levels, a positive sign.
"More broadly, it expects customers will continue to invest in technology roadmaps for next-generation chips, which we think is consistent with commentary from peers and customers as earnings come in this quarter," Barger wrote in an investor note. "While a cycle inflection may not be imminent, we think KLAC’s unique market position should make it more resilient than some other [wafer fab equipment] names, and we expect consensus estimates will increase following the results."
For the fourth-quarter, KLA Corp. (KLAC) expects sales to be between $2.125B and $2.375B, topping the $2.19B analysts were expecting at the mid-point. Earnings are forecast to be between $3.87 and $5.07 per share, with gross margins between 57.58% and 59.85%.
Shares of Lam Research (LRCX), Applied Materials (AMAT) and ASML (ASML) all rose in pre-market trading in sympathy.
Wells Fargo analyst Joe Quatrochi called the quarterly results and guidance a "positive" and indicative of demand stabilizing into the second-half of the year.
"KLA outlining demand stabilization into [the second-half] will be viewed as a surprise positive & better-than-feared post a recent softening in leading edge foundry/logic demand," Quatrochi wrote in a note. "We think [continued] bookings stability will be a key driver of shares looking into 2024."
Susquehanna analyst Mehdi Hosseini said that although memory spending is likely to remain "weak" through the end of the year, KLA. Corp (KLAC) should benefit from higher business from foundry and logic and may also see some near-term upside from China.
"KLAC noted an incremental $200M of upside from China export restrictions being clarified and released offering some upside in [the second-half]," Hosseini wrote in a note.
Hosseini also said investors will be paying attention to 2024 as N3e starts to ramp, and the reticle inspection requirement could have a "material impact" on KLA's (KLAC) size of the wafer fab equipment market, despite the lack of visibility coming from the memory market.
More on semiconductors

This data comes from MediaIntel.Asia's Media Intelligence and Media Monitoring Platform.

Original URL: Click here to visit original article